From 47a6be86b1007b723fad070cf302281ed4908183 Mon Sep 17 00:00:00 2001 From: fgsfds Date: Sun, 26 Feb 2023 00:59:34 +0100 Subject: [PATCH] engine: psvita: export stpcpy --- engine/platform/psvita/sys_psvita.c | 1 + 1 file changed, 1 insertion(+) diff --git a/engine/platform/psvita/sys_psvita.c b/engine/platform/psvita/sys_psvita.c index 6ce1094c..07916671 100644 --- a/engine/platform/psvita/sys_psvita.c +++ b/engine/platform/psvita/sys_psvita.c @@ -61,6 +61,7 @@ static const vrtld_export_t aux_exports[] = VRTLD_EXPORT_SYMBOL( isalnum ), VRTLD_EXPORT_SYMBOL( isalpha ), VRTLD_EXPORT_SYMBOL( strchrnul ), + VRTLD_EXPORT_SYMBOL( stpcpy ), VRTLD_EXPORT_SYMBOL( rand ), VRTLD_EXPORT_SYMBOL( srand ), VRTLD_EXPORT_SYMBOL( sceGxmMapMemory ), // needed by vgl_shim