Fix for prologue processing on PowerPC

One of conditions in skip_prologue() was never visited if there was mflr
instruction that moves the link register to a register different than r0.
This condition expects non shifted value of `lr_reg`. Previously offset
of link register was never saved for registers different than r0.

gdb/ChangeLog:

2018-01-31  Nikola Prica  <nikola.prica@rt-rk.com>

	* rs6000-tdep.c (skip_prologue): Remove shifting for lr_reg and
	assign shifted lr_reg to fdata->lr_register when lr_reg is set.

gdb/testsuite/ChangeLog:

2018-01-31  Nikola Prica  <nikola.prica@rt-rk.com>

	* gdb.arch/powerpc-prologue-frame.s: New file.
	* gdb.arch/powerpc-prologue-frame.c: Likewise.
	* gdb.arch/powerpc-prologue-frame.exp: Likewise.
This commit is contained in:
Nikola Prica 2018-01-31 19:23:00 +01:00 committed by Ulrich Weigand
parent 450b631735
commit 07e5f5cf88
6 changed files with 117 additions and 2 deletions

View File

@ -1,3 +1,8 @@
2018-01-31 Nikola Prica <nikola.prica@rt-rk.com>
* rs6000-tdep.c (skip_prologue): Remove shifting for lr_reg and
assign shifted lr_reg to fdata->lr_register when lr_reg is set.
2018-01-31 Pedro Alves <palves@redhat.com>
* darwin-nat.c (darwin_interrupt): Remove ptid_t parameter.

View File

@ -1654,7 +1654,7 @@ skip_prologue (struct gdbarch *gdbarch, CORE_ADDR pc, CORE_ADDR lim_pc,
remember just the first one, but skip over additional
ones. */
if (lr_reg == -1)
lr_reg = (op & 0x03e00000) >> 21;
lr_reg = (op & 0x03e00000);
if (lr_reg == 0)
r0_contains_arg = 0;
continue;
@ -2180,7 +2180,7 @@ skip_prologue (struct gdbarch *gdbarch, CORE_ADDR pc, CORE_ADDR lim_pc,
#endif /* 0 */
if (pc == lim_pc && lr_reg >= 0)
fdata->lr_register = lr_reg;
fdata->lr_register = lr_reg >> 21;
fdata->offset = -fdata->offset;
return last_prologue_pc;

View File

@ -1,3 +1,9 @@
2018-01-31 Nikola Prica <nikola.prica@rt-rk.com>
* gdb.arch/powerpc-prologue-frame.s: New file.
* gdb.arch/powerpc-prologue-frame.c: Likewise.
* gdb.arch/powerpc-prologue-frame.exp: Likewise.
2018-01-31 Joel Brobecker <brobecker@adacore.com>
* testsuite/gdb.ada/dyn_stride.exp: Add "print a1(1)@3" test.

View File

@ -0,0 +1,35 @@
/* This test is part of GDB, the GNU debugger.
Copyright 2018 Free Software Foundation, Inc.
This program is free software; you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation; either version 3 of the License, or
(at your option) any later version.
This program is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
GNU General Public License for more details.
You should have received a copy of the GNU General Public License
along with this program. If not, see <http://www.gnu.org/licenses/>. */
#include <ppc-asm.h>
FUNC_START(foo)
stwu 1,-32(1)
mflr 3
stw 3,36(1)
stw 31,28(1)
mr 31,1
bl bar
mr 9,3
mr 3,9
addi 11,31,32
lwz 0,4(11)
mtlr 0
lwz 31,-4(11)
mr 1,11
blr
FUNC_END(foo)

View File

@ -0,0 +1,28 @@
/* This test is part of GDB, the GNU debugger.
Copyright 2018 Free Software Foundation, Inc.
This program is free software; you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation; either version 3 of the License, or
(at your option) any later version.
This program is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
GNU General Public License for more details.
You should have received a copy of the GNU General Public License
along with this program. If not, see <http://www.gnu.org/licenses/>. */
int bar()
{
return 0;
}
int foo();
int main(void)
{
return foo();
}

View File

@ -0,0 +1,41 @@
# Copyright 2018 Free Software Foundation, Inc.
# This program is free software; you can redistribute it and/or modify
# it under the terms of the GNU General Public License as published by
# the Free Software Foundation; either version 3 of the License, or
# (at your option) any later version.
#
# This program is distributed in the hope that it will be useful,
# but WITHOUT ANY WARRANTY; without even the implied warranty of
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
# GNU General Public License for more details.
#
# You should have received a copy of the GNU General Public License
# along with this program. If not, see <http://www.gnu.org/licenses/>
if {![istarget "powerpc-*-*"] } {
verbose "Skipping powerpc back trace test."
return
}
standard_testfile .c .S
set binfile [standard_output_file ${testfile}]
if {[gdb_compile \
[list ${srcdir}/${subdir}/$srcfile ${srcdir}/${subdir}/$srcfile2] \
"${binfile}" executable {}] != ""} {
untested "failed to build $binfile"
return -1
}
clean_restart ${binfile}
if ![runto bar] {
untested "could not run to bar"
return -1
}
gdb_test "bt" \
"#0\[ \t\]*$hex in bar.*\r\n#1\[ \t\]*$hex in foo.*\r\n#2\[ \t\]*$hex in main.*" \
"Backtrace to the main frame"