* sky test suite fixes.

Mon Jun  1 18:54:22 1998  Frank Ch. Eigler  <fche@cygnus.com>
	* lib/sim-defs.exp (sim_run): Add possible environment variable
 	list to simulator run.
start-sanitize-sky
	* sim/sky/sky-defs.tcl: Use it.
	* sim/sky/t-pke2.vif1out: Update to match recent word-precise
 	tracking table change in sim/mips/sky-pke.c.
	* sim/sky/t-pke3.trc: Ditto.
	* sim/sky/t-pke4.vif0expect: Ditto.
end-sanitize-sky
Mon May 18 10:37:47 1998  Doug Evans  <devans@canuck.cygnus.com>
This commit is contained in:
Frank Ch. Eigler 1998-06-01 16:09:52 +00:00
parent 082a41fc7c
commit 22134bdb43
2 changed files with 50 additions and 21 deletions

View File

@ -1,16 +1,27 @@
Mon Jun 1 18:54:22 1998 Frank Ch. Eigler <fche@cygnus.com>
* lib/sim-defs.exp (sim_run): Add possible environment variable
list to simulator run.
start-sanitize-sky
* sim/sky/sky-defs.tcl: Use it.
* sim/sky/t-pke2.vif1out: Update to match recent word-precise
tracking table change in sim/mips/sky-pke.c.
* sim/sky/t-pke3.trc: Ditto.
* sim/sky/t-pke4.vif0expect: Ditto.
end-sanitize-sky
Thu May 28 14:59:46 1998 Jillian Ye <jillian@cygnus.com>
* Makefile.in: Take RUNTEST out of FLAG_TO_PASS
so that make check can be invoked recursively.
start-sanitize-sky
Mon May 18 10:37:47 1998 Doug Evans <devans@canuck.cygnus.com>
* sim/sky/sky.ld: Delete file.
end-sanitize-sky
start-sanitize-m32rx
Fri May 15 17:31:15 1998 Doug Evans <devans@seba.cygnus.com>
* sim/m32r/allinsn.exp: Pass --m32rx-enable-special to gas.
* sim/m32r/misc.exp: Ditto.
end-sanitize-m32rx
Thu May 14 11:48:35 1998 Doug Evans <devans@canuck.cygnus.com>
* config/default.exp (CC,SIM): Delete.

View File

@ -1,12 +1,27 @@
# Simulator dejagnu utilities.
# Communicate simulator path from sim_init to sim_version.
# For some reason [board_info target sim] doesn't work in sim_version.
# [Presumubly because the target has been "popped" by then. Odd though.]
set sim_path "unknown-run"
# Initialize the testrun.
# Required by dejagnu.
proc sim_init { args } {
global sim_path
set sim_path [board_info target sim]
# Need to return an empty string (copied from GAS).
return ""
}
# Print the version of the simulator being tested.
# Required by dejagnu.
proc sim_version {} {
global sim_path
set version 0.5
set program [board_info target sim]
clone_output "$program $version\n"
clone_output "$sim_path $version\n"
}
# Cover function to target_compile.
@ -24,7 +39,9 @@ proc sim_compile { source dest type options } {
# Run a program on the simulator.
# Required by dejagnu (at least ${tool}_run used to be).
# FIXME: What should we do with `redir'?
#
# At present REDIR must be "" or "> foo".
#
# The result is a list of two elements.
# The first is one of pass/fail/etc.
# The second is the program's output.
@ -33,7 +50,7 @@ proc sim_compile { source dest type options } {
# dejagnu/config/sim.exp. It's not clear how to pass arguments to the
# simulator (not the simulated program, the simulator) with sim_load.
proc sim_run { prog sim_opts redir } {
proc sim_run { prog sim_opts prog_opts redir env_vals } {
global SIMFLAGS
# FIXME: The timeout value we actually want is a function of
@ -48,7 +65,16 @@ proc sim_run { prog sim_opts redir } {
set sim [board_info target sim]
remote_spawn host "$sim $SIMFLAGS $sim_opts $prog"
# FIXME: this works for UNIX only
if { "$env_vals" != "" } {
set sim "env $env_vals $sim"
}
if { "$redir" == "" } {
remote_spawn host "$sim $SIMFLAGS $sim_opts $prog $prog_opts"
} else {
remote_spawn host "$sim $SIMFLAGS $sim_opts $prog $prog_opts $redir" writeonly
}
set result [remote_wait host $testcase_timeout]
set return_code [lindex $result 0]
@ -68,14 +94,6 @@ proc sim_run { prog sim_opts redir } {
return [list $status $output]
}
# Initialize the testrun.
# Required by dejagnu.
proc sim_init { args } {
# Need to return an empty string (copied from GAS).
return ""
}
# Run testcase NAME.
# NAME is either a fully specified file name, or just the file name in which
# case $srcdir/$subdir will be prepended.
@ -166,7 +184,7 @@ proc run_sim_test { name } {
set opts(sim,$mach) $opts(sim)
}
set result [sim_run ${name}.x "$opts(sim,$mach)" ""]
set result [sim_run ${name}.x "$opts(sim,$mach)" "" "" ""]
set status [lindex $result 0]
set output [lindex $result 1]