2003-07-17 Michael Snyder <msnyder@redhat.com>

* compile.c (sim_resume): Handle shll reg, reg and shlr reg, reg.
This commit is contained in:
Michael Snyder 2003-07-18 00:10:41 +00:00
parent 0f42aa719d
commit 55acb21b1f
2 changed files with 12 additions and 10 deletions

View File

@ -1,6 +1,8 @@
2003-07-17 Michael Snyder <msnyder@redhat.com>
* compile.c (decode): IMM16 is always zero-extended.
* compile.c (sim_resume): Handle shll reg, reg and shlr reg, reg.
(decode): IMM16 is always zero-extended.
2003-06-24 Michael Snyder <msnyder@redhat.com>

View File

@ -3153,10 +3153,10 @@ sim_resume (SIM_DESC sd, int step, int siggnal)
if (fetch2 (sd, &code->dst, &rd))
goto end;
if (code->src.type == X (OP_IMM, SB))
if (memcmp (&code->src, &code->dst, sizeof (code->src)) == 0)
ea = 1; /* unary op */
else /* binary op */
fetch (sd, &code->src, &ea);
else
ea = 1;
if (code->opcode == O (O_SHLL, SB))
{
@ -3177,10 +3177,10 @@ sim_resume (SIM_DESC sd, int step, int siggnal)
if (fetch2 (sd, &code->dst, &rd))
goto end;
if (code->src.type == X (OP_IMM, SW))
fetch (sd, &code->src, &ea);
if (memcmp (&code->src, &code->dst, sizeof (code->src)) == 0)
ea = 1; /* unary op */
else
ea = 1;
fetch (sd, &code->src, &ea);
if (code->opcode == O (O_SHLL, SW))
{
@ -3201,10 +3201,10 @@ sim_resume (SIM_DESC sd, int step, int siggnal)
if (fetch2 (sd, &code->dst, &rd))
goto end;
if (code->src.type == X (OP_IMM, SL))
fetch (sd, &code->src, &ea);
if (memcmp (&code->src, &code->dst, sizeof (code->src)) == 0)
ea = 1; /* unary op */
else
ea = 1;
fetch (sd, &code->src, &ea);
if (code->opcode == O (O_SHLL, SL))
{