Remove vax-bsd and vax-ultrix support

bfd/
	* Makefile.am: Remove vax-bsd and vax-ultrix support.
	* config.bfd: Likewise.
	* configure.ac: Likewise.
	* targets.c: Likewise.
	* vaxbsd.c: Delete.
	* Makefile.in: Regenerate.
	* configure: Regenerate.
	* po/SRC-POTFILES.in: Regenerate.
ld/
	* Makefile.am: Remove vax-bsd and vax-ultrix support.
	* configure.tgt: Likewise.
	* emulparams/vax.sh: Delete.
	* Makefile.in: Regenerate.
	* po/BLD-POTFILES.in: Regenerate.
This commit is contained in:
Alan Modra 2018-04-16 15:26:56 +09:30
parent a9a4b30244
commit 9f5d15933b
15 changed files with 20 additions and 73 deletions

View File

@ -1,3 +1,14 @@
2018-04-16 Alan Modra <amodra@gmail.com>
* Makefile.am: Remove vax-bsd and vax-ultrix support.
* config.bfd: Likewise.
* configure.ac: Likewise.
* targets.c: Likewise.
* vaxbsd.c: Delete.
* Makefile.in: Regenerate.
* configure: Regenerate.
* po/SRC-POTFILES.in: Regenerate.
2018-04-16 Alan Modra <amodra@gmail.com>
* Makefile.am: Remove w65 support.

View File

@ -420,7 +420,6 @@ BFD32_BACKENDS = \
sparcnetbsd.lo \
sunos.lo \
vax1knetbsd.lo \
vaxbsd.lo \
vaxnetbsd.lo \
versados.lo \
vms-lib.lo \
@ -594,7 +593,6 @@ BFD32_BACKENDS_CFILES = \
sparcnetbsd.c \
sunos.c \
vax1knetbsd.c \
vaxbsd.c \
vaxnetbsd.c \
versados.c \
vms-lib.c \

View File

@ -754,7 +754,6 @@ BFD32_BACKENDS = \
sparcnetbsd.lo \
sunos.lo \
vax1knetbsd.lo \
vaxbsd.lo \
vaxnetbsd.lo \
versados.lo \
vms-lib.lo \
@ -928,7 +927,6 @@ BFD32_BACKENDS_CFILES = \
sparcnetbsd.c \
sunos.c \
vax1knetbsd.c \
vaxbsd.c \
vaxnetbsd.c \
versados.c \
vms-lib.c \
@ -1581,7 +1579,6 @@ distclean-compile:
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/tekhex.Plo@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/trad-core.Plo@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/vax1knetbsd.Plo@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/vaxbsd.Plo@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/vaxnetbsd.Plo@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/verilog.Plo@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/versados.Plo@am__quote@

View File

@ -103,7 +103,6 @@ case $targ in
sparc-*-openbsd[0-2].* | sparc-*-openbsd3.[0-1] | \
sparc64-*-aout* | \
sparc*-*-coff* | \
vax-*-bsd* | vax-*-ultrix* | \
sh5*-*-* | sh64*-*-* | \
null)
if test "x$enable_obsolete" != xyes; then
@ -141,7 +140,7 @@ case $targ in
mips*el-*-rtems* | \
sparc-*-lynxos* | \
tahoe-*-* | \
vax-*-vms* | \
vax-*-bsd* | vax-*-ultrix* | vax-*-vms* | \
w65-*-* | \
we32k-*-* | \
null)
@ -1700,11 +1699,6 @@ case "${targ}" in
targ_underscore=yes
;;
vax-*-bsd* | vax-*-ultrix*)
targ_defvec=vax_aout_bsd_vec
targ_underscore=yes
;;
vax-*-openbsd*)
targ_defvec=vax_aout_nbsd_vec
targ_underscore=yes

1
bfd/configure vendored
View File

@ -14620,7 +14620,6 @@ do
v800_elf32_vec) tb="$tb elf32-v850.lo elf32.lo $elf" ;;
v850_elf32_vec) tb="$tb elf32-v850.lo elf32.lo $elf" ;;
vax_aout_1knbsd_vec) tb="$tb vax1knetbsd.lo aout32.lo" ;;
vax_aout_bsd_vec) tb="$tb vaxbsd.lo aout32.lo" ;;
vax_aout_nbsd_vec) tb="$tb vaxnetbsd.lo aout32.lo" ;;
vax_elf32_vec) tb="$tb elf32-vax.lo elf32.lo $elf" ;;
ft32_elf32_vec) tb="$tb elf32-ft32.lo elf32.lo $elf" ;;

View File

@ -697,7 +697,6 @@ do
v800_elf32_vec) tb="$tb elf32-v850.lo elf32.lo $elf" ;;
v850_elf32_vec) tb="$tb elf32-v850.lo elf32.lo $elf" ;;
vax_aout_1knbsd_vec) tb="$tb vax1knetbsd.lo aout32.lo" ;;
vax_aout_bsd_vec) tb="$tb vaxbsd.lo aout32.lo" ;;
vax_aout_nbsd_vec) tb="$tb vaxnetbsd.lo aout32.lo" ;;
vax_elf32_vec) tb="$tb elf32-vax.lo elf32.lo $elf" ;;
ft32_elf32_vec) tb="$tb elf32-ft32.lo elf32.lo $elf" ;;

View File

@ -344,7 +344,6 @@ targets.c
tekhex.c
trad-core.c
vax1knetbsd.c
vaxbsd.c
vaxnetbsd.c
verilog.c
versados.c

View File

@ -879,7 +879,6 @@ extern const bfd_target v800_elf32_vec;
extern const bfd_target v850_elf32_vec;
extern const bfd_target ft32_elf32_vec;
extern const bfd_target vax_aout_1knbsd_vec;
extern const bfd_target vax_aout_bsd_vec;
extern const bfd_target vax_aout_nbsd_vec;
extern const bfd_target vax_elf32_vec;
extern const bfd_target visium_elf32_vec;
@ -1375,7 +1374,6 @@ static const bfd_target * const _bfd_target_vector[] =
&v850_elf32_vec,
&vax_aout_1knbsd_vec,
&vax_aout_bsd_vec,
&vax_aout_nbsd_vec,
&vax_elf32_vec,

View File

@ -1,40 +0,0 @@
/* BFD back-end for BSD and Ultrix/VAX (1K page size) a.out-ish binaries.
Copyright (C) 2002-2018 Free Software Foundation, Inc.
This file is part of BFD, the Binary File Descriptor library.
This program is free software; you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation; either version 3 of the License, or
(at your option) any later version.
This program is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
GNU General Public License for more details.
You should have received a copy of the GNU General Public License
along with this program; if not, write to the Free Software
Foundation, Inc., 51 Franklin Street - Fifth Floor, Boston,
MA 02110-1301, USA. */
#define N_HEADER_IN_TEXT(x) 0
#define ENTRY_CAN_BE_ZERO
#define TEXT_START_ADDR 0
#define TARGET_PAGE_SIZE 1024
#define SEGMENT_SIZE TARGET_PAGE_SIZE
#define DEFAULT_ARCH bfd_arch_vax
/* Do not "beautify" the CONCAT* macro args. Traditional C will not
remove whitespace added here, and thus will fail to concatenate the
tokens. */
#define MY(OP) CONCAT2 (vax_aout_bsd_,OP)
#define TARGETNAME "a.out-vax-bsd"
#include "sysdep.h"
#include "bfd.h"
#include "libbfd.h"
#include "libaout.h"
#include "aout-target.h"

View File

@ -1,3 +1,11 @@
2018-04-16 Alan Modra <amodra@gmail.com>
* Makefile.am: Remove vax-bsd and vax-ultrix support.
* configure.tgt: Likewise.
* emulparams/vax.sh: Delete.
* Makefile.in: Regenerate.
* po/BLD-POTFILES.in: Regenerate.
2018-04-16 Alan Modra <amodra@gmail.com>
* Makefile.am: Remove w65 support.

View File

@ -404,7 +404,6 @@ ALL_EMULATION_SOURCES = \
ev850.c \
ev850_rh850.c \
evanilla.c \
evax.c \
evaxnbsd.c \
evsta.c \
exgateelf.c \
@ -1788,9 +1787,6 @@ ev850_rh850.c: $(srcdir)/emulparams/v850_rh850.sh $(srcdir)/emultempl/v850elf.em
evanilla.c: $(srcdir)/emulparams/vanilla.sh \
$(srcdir)/emultempl/vanilla.em $(srcdir)/scripttempl/vanilla.sc ${GEN_DEPENDS}
evax.c: $(srcdir)/emulparams/vax.sh \
$(srcdir)/emultempl/generic.em $(srcdir)/scripttempl/aout.sc ${GEN_DEPENDS}
evaxnbsd.c: $(srcdir)/emulparams/vaxnbsd.sh \
$(srcdir)/emultempl/generic.em $(srcdir)/scripttempl/aout.sc ${GEN_DEPENDS}

View File

@ -773,7 +773,6 @@ ALL_EMULATION_SOURCES = \
ev850.c \
ev850_rh850.c \
evanilla.c \
evax.c \
evaxnbsd.c \
evsta.c \
exgateelf.c \
@ -1423,7 +1422,6 @@ distclean-compile:
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ev850.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ev850_rh850.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/evanilla.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/evax.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/evaxnbsd.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/evsta.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/exgateelf.Po@am__quote@
@ -3327,9 +3325,6 @@ ev850_rh850.c: $(srcdir)/emulparams/v850_rh850.sh $(srcdir)/emultempl/v850elf.em
evanilla.c: $(srcdir)/emulparams/vanilla.sh \
$(srcdir)/emultempl/vanilla.em $(srcdir)/scripttempl/vanilla.sc ${GEN_DEPENDS}
evax.c: $(srcdir)/emulparams/vax.sh \
$(srcdir)/emultempl/generic.em $(srcdir)/scripttempl/aout.sc ${GEN_DEPENDS}
evaxnbsd.c: $(srcdir)/emulparams/vaxnbsd.sh \
$(srcdir)/emultempl/generic.em $(srcdir)/scripttempl/aout.sc ${GEN_DEPENDS}

View File

@ -827,7 +827,6 @@ ft32-*-*) targ_emul=elf32ft32
v850*-*-*) targ_emul=v850_rh850
targ_extra_emuls=v850
;;
vax-dec-ultrix* | vax-dec-bsd*) targ_emul=vax ;;
vax-*-netbsdelf*) targ_emul=elf32vax
targ_extra_emuls=vaxnbsd ;;
vax-*-netbsdaout* | vax-*-netbsd*)

View File

@ -1,5 +0,0 @@
SCRIPT_NAME=aout
OUTPUT_FORMAT="a.out-vax-bsd"
TEXT_START_ADDR=0
TARGET_PAGE_SIZE=1024
ARCH=vax

View File

@ -326,7 +326,6 @@ etic80coff.c
ev850.c
ev850_rh850.c
evanilla.c
evax.c
evaxnbsd.c
evsta.c
exgateelf.c