V4L/DVB (7865): mxl5005s: Cleanup #2

Cleanup #2

Signed-off-by: Steven Toth <stoth@hauppauge.com>
Signed-off-by: Mauro Carvalho Chehab <mchehab@infradead.org>
This commit is contained in:
Steven Toth 2008-05-01 05:02:58 -03:00 committed by Mauro Carvalho Chehab
parent 2637d5b498
commit a8214d48e6
1 changed files with 266 additions and 631 deletions

File diff suppressed because it is too large Load Diff