linux/drivers/iio/adc
Subbaraya Sundeep Bhatta 1887e724e2 iio: adc: xilinx-xadc: assign auxiliary channels address correctly
This patch fixes incorrect logic for assigning address
to auxiliary channels of xilinx xadc.

Signed-off-by: Subbaraya Sundeep Bhatta <sbhatta@xilinx.com>
Acked-by: Lars-Peter Clausen <lars@metafoo.de>
Cc: Stable@vger.kernel.org
Signed-off-by: Jonathan Cameron <jic23@kernel.org>
2014-09-14 18:18:22 +01:00
..
Kconfig staging:iio:ad7291: Move out of staging 2014-07-08 21:17:30 +01:00
Makefile staging:iio:ad7291: Move out of staging 2014-07-08 21:17:30 +01:00
ad799x.c iio:adc:ad799x: Allow writing of event config 2014-07-13 21:59:40 +01:00
ad7266.c
ad7291.c 3rd round of IIO new drivers, cleanups and functionality for the 3.17 cycle. 2014-07-13 12:31:47 -07:00
ad7298.c iio:adc:ad7298: Use BIT() and GENMASK() macros 2014-06-14 16:04:24 +01:00
ad7476.c iio:adc:ad7476: Use GENMASK() macro 2014-06-14 16:03:48 +01:00
ad7791.c
ad7793.c
ad7887.c iio:adc:ad7887: Use BIT() and GENMASK() macros 2014-06-14 16:03:19 +01:00
ad7923.c
ad_sigma_delta.c iio: adc: ad_sigma_delta: Fix indio_dev->trig assignment 2014-08-25 21:48:29 +01:00
at91_adc.c Merge 3.16-rc2 into staging-next 2014-06-22 12:33:51 -04:00
exynos_adc.c iio: adc: exynos_adc: Control special clock of ADC to support Exynos3250 ADC 2014-07-23 21:58:26 +01:00
lp8788_adc.c
max1027.c iio: add support of the max1027 2014-06-28 09:54:14 +01:00
max1363.c iio:adc:max1363 incorrect resolutions for max11604, max11605, max11610 and max11611. 2014-05-25 12:06:25 +01:00
mcp320x.c
mcp3422.c
men_z188_adc.c iio: adc: checking for NULL instead of IS_ERR() in probe 2014-06-14 16:16:43 +01:00
nau7802.c
ti-adc081c.c
ti_am335x_adc.c iio: ti_am335x_adc: Fix: Use same step id at FIFOs both ends 2014-07-08 21:21:22 +01:00
twl4030-madc.c twl4030-madc: Request processed values in twl4030_get_madc_conversion 2014-06-02 21:53:27 +01:00
twl6030-gpadc.c
vf610_adc.c
viperboard_adc.c
xilinx-xadc-core.c iio: adc: xilinx-xadc: assign auxiliary channels address correctly 2014-09-14 18:18:22 +01:00
xilinx-xadc-events.c iio: xilinx-xadc: Remove unused variable 2014-07-03 22:00:40 +01:00
xilinx-xadc.h