Remove redundant assignment from CfgSimplifier

This commit is contained in:
Tomasz Miąsko 2020-08-03 00:00:00 +00:00
parent 82651db9b2
commit 7f9f2ff9f4
1 changed files with 0 additions and 2 deletions

View File

@ -142,8 +142,6 @@ impl<'a, 'tcx> CfgSimplifier<'a, 'tcx> {
}
self.basic_blocks[bb].terminator = Some(terminator);
changed |= inner_changed;
}
if !changed {