Note the BENCHMARK option in README

This commit is contained in:
Joris Vink 2014-08-01 10:30:29 +02:00
parent c2e4d55235
commit a047758df1
1 changed files with 1 additions and 0 deletions

View File

@ -67,6 +67,7 @@ those by setting a shell environment variable before running **_make_**.
* TASKS=1 (compiles in task support)
* PGSQL=1 (compiles in pgsql support)
* DEBUG=1 (enables use of -d for debug)
* BENCHMARK=1 (compiles Kore without OpenSSL)
* KORE_PEDANTIC_MALLOC=1 (zero all allocated memory)
Example libraries